VHDL (VHSIC-HDL, Very High-Speed Integrated Circuit Hardware Description Language, tạm dịch: Ngôn ngữ Mô tả Phần cứng cho Vi mạch tích hợp Tốc độ cao) là một ngôn ngữ lập trình dùng để mô tả phần cứng, dùng trong thiết kế điện tử tự động để mô tả những hệ thống điện tử và bán điện tử như FPGA và các vi mạch tích hợp. VHDL còn được dùng như là một ngôn ngữ đa dụng trong lập trình song song.

VHDL
Mẫu hìnhđồng thời, reactive, luồng dữ liệu
Xuất hiện lần đầuthập niên 1980
Phiên bản ổn định
IEEE 1076-2019 / 23 tháng 12 năm 2019; 4 năm trước (2019-12-23)
Kiểm tra kiểumạnh
Phần mở rộng tên tập tin.vhd
Trang mạngIEEE VASG
Phương ngữ
VHDL-AMS
Ảnh hưởng từ
Ada,[1] Pascal
Mã nguồn VHDL cho một mạch cộng có dấu

Lịch sử sửa

Vào năm 1983, VHDL được khai triển theo yêu cầu của Bộ Quốc phòng Hoa Kỳ để ghi lại hành vi của các mạch ASIC mà các công ty cung cấp linh kiện đang dùng trong thiết bị của họ. Chuẩn MIL-STD-454N[2], Yêu cầu 64, Mục 4.5.1 "Ghi chép về ASIC bằng VHDL" có chỉ định rõ yêu cầu ghi chép tư liệu của "Các thiết bị Vi điện tử" bằng ngôn ngữ VHDL.

Ý tưởng giả lập các mạch ASIC chỉ từ thông tin trong các tư liệu trên cuốn hút đến nỗi các trình giả lập mạch logic được phát triển để đọc định dạng file VHDL này. Bước phát triển tiếp sau đó là các công cụ tổng hợp mạch logic đọc mã VHDL và xuất ra cách cài đặt bằng phần cứng của mạch đã cho.

Do Bộ Quốc phòng Hoa Kỳ yêu cầu cú pháp của VHDL gần với Ada nhất có thể để tránh phải "phát minh lại" các khái niệm đã có sẵn và được thực nghiệm kĩ từ trước trong ngôn ngữ Ada, VHDL mượn khá nhiều khái niệm và cú pháp ngôn ngữ từ Ada.

Phiên bản đầu tiên của VHDL được thiết kế dựa theo chuẩn IEEE 1076-1987, bao gồm các kiểu dữ liệu như:

Phần mềm liên quan sửa

Bảng dưới đây là danh sách tổng hợp các phần mềm, trình giả lập liên quan đến ngôn ngữ VHDL.

Tên Tác giả Bản quyền Ghi chú
boot Lưu trữ 2020-11-29 tại Wayback Machine Free Range Factory Nguồn mở Trình biên dịch/giả lập VHDL, dựa trên GHDL và GTKWave
EDA Playground EDA Playground Nguồn mở Môi trường tích hợp để làm việc với VHDL. Chạy trên nền web; sử dụng Synopsys VCS, Cadence Incisive, Aldec Riviera-PRO và GHDL cho phần giả lập.
freehdl Edwin Naroska Nguồn mở Trình giả lập VHDL. Không còn được bảo trì kể từ năm 2001.
GHDL Tristan Gingold Nguồn mở Trình biên dịch và thực thi mã VHDL.
nvc Nick Gasson Nguồn mở Trình biên dịch VHDL.
VHDL Simili Symphony EDA Thương mại, miễn phí Trình giả lập VHDL.
Active-HDL Aldec Thương mại Trình thiết kế, giả lập FPGA (chỉ trên Windows)
EDA Utilities Kanai Ghosh Thương mại Bộ công cụ đọc VHDL, chuyển đổi sang Verilog/IP-XACT và nhiều công cụ khác. Đã từng miễn phí cho tới năm 2018.
Incisive (NCSim) Cadence Thương mại Bộ công cụ thiết kế và kiểm tra ASIC, SoC và FPGA. Bao gồm công cụ NC VHDL, trình biên dịch ngôn ngữ VHDL 87 và VHDL 93
ModelSim Mentor Graphics Thương mại Môi trường tích hợp để làm việc với VHDL, Verilog và SystemC. Được dùng bởi một số các nhà sản xuất FPGA (Altera, Lattice, Microsemi, v.v.)
Questa Advanced Simulator Mentor Graphics Thương mại Thành phần lõi (giả lập và gỡ lỗi) trong bộ giải pháp Questa Verification Solution. Thêm khả năng gỡ lỗi nâng cao nhắm tới các FPGA và SoC phức tạp được thiết kế dựa trên ModelSim.
VCS-MX Synopsys Thương mại
Vivado (xsim) Xilinx Thương mại

Xem thêm sửa

Chú thích sửa

  1. ^ David R. Coelho (ngày 30 tháng 6 năm 1989). The VHDL Handbook. Springer Science & Business Media. ISBN 978-0-7923-9031-2.
  2. ^ “Military Standard, Standard general requirements for electronic equipment”. 1992. Truy cập ngày 15 tháng 11 năm 2017. |tên= thiếu |tên= (trợ giúp)

Tham khảo sửa

Liên kết ngoài sửa